Difference between revisions of "Intel Quartus"

From CNC Wiki
Jump to navigation Jump to search
(added Debian 10 instructions for Quartus 13.0sp1)
(added more detailed installation instructions)
Line 88: Line 88:
  
 
<pre>sudo apt-get install libc6-i386</pre>
 
<pre>sudo apt-get install libc6-i386</pre>
 +
 +
You can then download and run the installer:
 +
 +
<pre>cd ~/Downloads
 +
wget -c https://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_tar/Quartus-web-13.0.1.232-linux.tar
 +
mkdir quartus_inst
 +
cd quartus_inst
 +
tar xf ~/Downloads/Quartus-web-13.0.1.232-linux.tar
 +
./setup.sh</pre>
 +
 +
It will ask you questions about what it should install. You'll definitely want to install the 64-bit edition, the appropriate device pack, and probably ModelSim (both editions to be safe). Here is an example of choosing everything:
 +
 +
<pre>Select the components you want to install
 +
 +
Quartus II Web Edition (Free)  [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Quartus II Software (includes Nios II EDS) (4424MB) : Y (Cannot be edited)
 +
 +
Quartus II Web Edition (Free)  - Quartus II Software 64-bit support (1090MB) [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Quartus II Help (627.9MB) [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Devices [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Devices - Arria II (482.8MB) [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Devices - Cyclone II/III/IV (615.2MB) [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Devices - Cyclone V (751.8MB) [Y/n] : y
 +
 +
Quartus II Web Edition (Free)  - Devices - MAX II/V, MAX 3000/7000 (9.1MB) [Y/n] : y
 +
 +
ModelSim-Altera Starter Edition (Free) (3547.1MB) [Y/n] : y
 +
 +
ModelSim-Altera Edition (3547.1MB) [y/N] : y
 +
 +
Is the selection above correct? [Y/n]: y</pre>
 +
 +
Later it will ask you a few post-installation things:
 +
 +
<pre>Create shortcuts on Desktop [Y/n]: y
 +
Launch Quartus II (64bit) [Y/n]: n
 +
 +
Provide your feedback at http://software.altera.com/feedback/13.0sp1/installer [Y/n]: n</pre>
  
 
Once installed, the application won't launch without libpng12, and libpng16 is what ships with Ubuntu. Luckily there is a PPA:
 
Once installed, the application won't launch without libpng12, and libpng16 is what ships with Ubuntu. Luckily there is a PPA:
Line 94: Line 138:
 
sudo apt update
 
sudo apt update
 
sudo apt install libpng12-0</pre>
 
sudo apt install libpng12-0</pre>
 +
 +
You will also want to launch Quartus using the <code>--64bit</code> command line option. If you install the 64-bit edition and choose to create a desktop launcher (shortcut), it will both pass that option and set the working directory to the folder containing the <code>quartus</code> script. To run it manually from the command, replicate the same conditions:
 +
 +
<pre>cd ~/altera/13.0sp1/quartus/bin
 +
./quartus --64bit</pre>
  
 
For Quartus to be able to access the USB Blaster with proper permissions, udev rules need to be set up:
 
For Quartus to be able to access the USB Blaster with proper permissions, udev rules need to be set up:

Revision as of 08:08, 16 April 2021

Overview

Intel Quartus Prime, formerly Altera Quartus II, is an FPGA IDE for Intel/Altera's FPGAs and CPLDs. Different version ranges of the software support various generations of their products.

Download Links

https://www.intel.com/content/www/us/en/software/programmable/quartus-prime/download.html

https://fpgasoftware.intel.com/?edition=lite

Version URL MD5
20.1.1 https://download.altera.com/akdlm/software/acdsinst/20.1std.1/720/ib_tar/Quartus-lite-20.1.1.720-linux.tar 0bebcaece9d8a03af9a69a48adc45634
20.1 https://download.altera.com/akdlm/software/acdsinst/20.1std/711/ib_tar/Quartus-lite-20.1.0.711-linux.tar cf68cf3206053f692976db04b251f250
19.1 https://download.altera.com/akdlm/software/acdsinst/19.1std/670/ib_tar/Quartus-lite-19.1.0.670-linux.tar ea13279a52d174a4e987e5379954b4de
18.1 https://download.altera.com/akdlm/software/acdsinst/18.1std/625/ib_tar/Quartus-lite-18.1.0.625-linux.tar eaf82392603b92dae632cc0f356b08aa
18.0 https://download.altera.com/akdlm/software/acdsinst/18.0std/614/ib_tar/Quartus-lite-18.0.0.614-linux.tar d8fb4ff23e0eff722c6bfe65ea2bd265
17.1 https://download.altera.com/akdlm/software/acdsinst/17.1std/590/ib_tar/Quartus-lite-17.1.0.590-linux.tar 9f6f40457b10e6e395e2b015d71f29be
17.0 https://download.altera.com/akdlm/software/acdsinst/17.0std/595/ib_tar/Quartus-lite-17.0.0.595-linux.tar ca8cf693531af4147f6f7d97b65a8934
16.1 https://download.altera.com/akdlm/software/acdsinst/16.1/196/ib_tar/Quartus-lite-16.1.0.196-linux.tar 7a87d35b88209c91736f040b32351202
16.0 https://download.altera.com/akdlm/software/acdsinst/16.0/211/ib_tar/Quartus-lite-16.0.0.211-linux.tar a3ad9370d0773f4e6ac41d1eac4c85ee
15.1 https://download.altera.com/akdlm/software/acdsinst/15.1/185/ib_tar/Quartus-lite-15.1.0.185-linux.tar ef0d9eb90e24338ad31864d3069151b0
15.0 https://download.altera.com/akdlm/software/acdsinst/15.0/145/ib_tar/Quartus-web-15.0.0.145-linux.tar 6bbe995747baf100d7f5bc04246971d7
14.1 https://download.altera.com/akdlm/software/acdsinst/14.1/186/ib_tar/Quartus-web-14.1.0.186-linux.tar 59ee7ac356ecf22e30fb8831f905af62
14.0 https://download.altera.com/akdlm/software/acdsinst/14.0/200/ib_tar/Quartus-web-14.0.0.200-linux.tar 6403fa259e959af6e5cae9080527dbd8
13.1 https://download.altera.com/akdlm/software/acdsinst/13.1/162/ib_tar/Quartus-web-13.1.0.162-linux.tar ba705f9d15f3a43ab7e86d297f394ee3
13.0sp1 https://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_tar/Quartus-web-13.0.1.232-linux.tar 7588ed734761f62ec8f86b07a5adfffd

A table of the last version that supported a given device family:

https://fpgasoftware.intel.com/devices/

Installing

Quartus II 13.0sp1

Ubuntu 20.04

The installer won't launch unless you install 32-bit libc:

sudo apt-get install libc6-i386

You can then download and run the installer:

cd ~/Downloads
wget -c https://download.altera.com/akdlm/software/acdsinst/13.0sp1/232/ib_tar/Quartus-web-13.0.1.232-linux.tar
mkdir quartus_inst
cd quartus_inst
tar xf ~/Downloads/Quartus-web-13.0.1.232-linux.tar
./setup.sh

It will ask you questions about what it should install. You'll definitely want to install the 64-bit edition, the appropriate device pack, and probably ModelSim (both editions to be safe). Here is an example of choosing everything:

Select the components you want to install

Quartus II Web Edition (Free)  [Y/n] : y

Quartus II Web Edition (Free)  - Quartus II Software (includes Nios II EDS) (4424MB) : Y (Cannot be edited)

Quartus II Web Edition (Free)  - Quartus II Software 64-bit support (1090MB) [Y/n] : y

Quartus II Web Edition (Free)  - Quartus II Help (627.9MB) [Y/n] : y

Quartus II Web Edition (Free)  - Devices [Y/n] : y

Quartus II Web Edition (Free)  - Devices - Arria II (482.8MB) [Y/n] : y

Quartus II Web Edition (Free)  - Devices - Cyclone II/III/IV (615.2MB) [Y/n] : y

Quartus II Web Edition (Free)  - Devices - Cyclone V (751.8MB) [Y/n] : y

Quartus II Web Edition (Free)  - Devices - MAX II/V, MAX 3000/7000 (9.1MB) [Y/n] : y

ModelSim-Altera Starter Edition (Free) (3547.1MB) [Y/n] : y

ModelSim-Altera Edition (3547.1MB) [y/N] : y

Is the selection above correct? [Y/n]: y

Later it will ask you a few post-installation things:

Create shortcuts on Desktop [Y/n]: y
Launch Quartus II (64bit) [Y/n]: n

Provide your feedback at http://software.altera.com/feedback/13.0sp1/installer [Y/n]: n

Once installed, the application won't launch without libpng12, and libpng16 is what ships with Ubuntu. Luckily there is a PPA:

sudo add-apt-repository ppa:linuxuprising/libpng12
sudo apt update
sudo apt install libpng12-0

You will also want to launch Quartus using the --64bit command line option. If you install the 64-bit edition and choose to create a desktop launcher (shortcut), it will both pass that option and set the working directory to the folder containing the quartus script. To run it manually from the command, replicate the same conditions:

cd ~/altera/13.0sp1/quartus/bin
./quartus --64bit

For Quartus to be able to access the USB Blaster with proper permissions, udev rules need to be set up:

echo 'ATTR{idVendor}=="09fb", ATTR{idProduct}=="6001", MODE="666"' | sudo tee /etc/udev/rules.d/altera-usb-blaster.rules

Taken from:

http://www.armadeus.org/wiki/index.php?title=Quartus_installation_on_Linux#USB-Blaster

Debian 10 (buster)

The steps requires as the same as for Ubuntu 20.04, with the exception of being unable to use the Ubuntu PPA for libpng12. Debian 8 (jessie) was the last version to have libpng12. The libpng12-0_1.2.50-2+deb8u3_amd64.deb package can be unpacked, and then the appropriate .so files moved into Quartus's installation directory.

Debian -- Details of package libpng12-0 in jessie (the old package)

Debian -- Package Download Selection -- libpng12-0_1.2.50-2+deb8u3_amd64.deb (the 64-bit package download page)

# download and unpack the Debian package
mkdir libpng12_files
cd libpng12_files
wget http://http.us.debian.org/debian/pool/main/libp/libpng/libpng12-0_1.2.50-2+deb8u3_amd64.deb
ar x libpng12-0_1.2.50-2+deb8u3_amd64.deb
tar xf data.tar.xz

# move the shared library files into the Quartus folder
mv lib/x86_64-linux-gnu/libpng12.so.* ~/altera/13.0sp1/quartus/linux64/

# clean up
cd ..
rm -rf libpng12_files

Online Resources

Intel Quartus Prime - Wikipedia

FPGA Design Software - Intel® Quartus® Prime